log Resources/Patches/glog-visual-studio-port.h @ 1072:1dffa9f44a94

age author description
Fri, 25 Jul 2014 14:08:10 +0200 Sebastien Jodogne patch glog for visual studio >= 11.0